所有提交的EM系统将被重定向到网上投稿系统.作者被要求将文章直接提交给网上投稿系统各自的日志。

OFDM发射机和接收机在可重构平台上的实现

Tirumala Rao Pechetty1, Mohith Vemulapalli2
  1. 欧洲经委会助理教授,印度安得拉邦维萨卡帕特南Vignanâ信息技术研究所
  2. PG学生[DECS],欧洲经委会系,Vignanâ信息技术研究所,维萨卡帕特南,安得拉邦,印度
有关文章载于Pubmed,谷歌学者

更多相关文章请访问国际电气、电子和仪器工程高级研究杂志

摘要

正交频分复用(OFDM)具有较高的数据速率、较低的码间干扰(ISI)以及易于适应频率变化的特点,目前的通信系统大多采用OFDM。目前的标准使用内置IP实现OFDM发射机和接收机。在FPGA上对OFDM收发器进行了完全数字化的验证和实现,整个工作采用VHDL语言完成。现场可编程门阵列为数据速率的变化提供了更好的平台,而且成本低,易于编程。软件工具和硬件用于实现Xilinx ISE,模型sim, Chipscope工具和Spartan 3E FPGA

关键字

OFDM, FPGA, Xilinx ISE, Modelsim, Chipscope

介绍

在一些应用中,希望在几个信道上传输相同的承载信息的信号。这种传输模式主要用于一个或多个信道很可能不时不可靠的情况。这种多通道信号的一种形式有时被用于无线通信系统,作为克服传输信号干扰影响的手段。通过在多个通道上传输相同的信息,提供信号分集,接收器可以利用它来恢复信息。多载波传输中的多信道通信的另一种形式,该信道的频带被细分为若干个子信道,信息在每个子信道上传输。在非理想线性滤波器信道中,可以观察到这种信道引入了ISI,这与思想信道相比降低了性能。性能下降的程度取决于频率响应特性。此外,随着ISI跨度的增加,接收机的复杂性也随之增加。在这个系统中,我们考虑在分配的信道带宽中包含的多个载波上传输信息。在多个载波上传输数据的主要动机是减少ISI,从而消除在实现系统的几种方法中引起的性能下降。 One of such methods of implementing this system is by using FPGA (Field Programmable Gate Array).
OFDM是在FPGA上进行的,FPGA是一种可编程硬件,对实际设计实现的完全控制在用户手中,不需要任何物理IC制造设施。具有通用处理器可编程性的FPGA结合了速度、功率和密度属性。这将有利于OFDM系统的实现。为了满足未来的需求,应该在现有的模型上添加新的功能,对于这种实现,FPGA是更好的平台,可以很容易地制作成芯片。因此,FPGA将是一个较好的OFDM实现平台,因为它提供了较低成本的硬件组件相比,程序设计的灵活性。

ofdm原理

多载波调制将可用信道带宽划分为相对较窄的子带(/\f),这提供了一种可以产生接近信道容量的传输速率的解决方案。每个子带中的信号可以以(1/(/\f))的同步符号速率独立编码和调制。如果宽度足够小,信道频率响应在每个子带上基本上是恒定的。因此,符号间的干扰可以忽略不计。这里是OFDM的概念,其中在每个子信道中选择的符号速率等于相邻子载波的频率分离,子载波在符号间隔上正交,与子载波之间的相对相位关系无关。OFDM广泛应用于有线和无线信道。OFDM已作为基于IEEE 802.11标准的数字音频广播应用和无线局域网的标准。OFDM是多载波传输的一种特殊情况,是一种单数据流在许多低速率子载波上传输的技术。通常FDM(频分复用)将整个频带划分为不重叠的信道。从字面上讲,OFDM也是如此,但在这里,总频带被划分为若干正交的子载波,从而更好地利用频谱。OFDM系统主要由调制器、串并联变换器、IFFT、FFT、并串行变换器、解调器组成。 The FFT’s iterative nature and the computational order make OFDM ideal for dedicated architecture.
为了克服信号传输过程中的误差,在信号传输前对信号进行前向纠错,这一概念被称为COFDM(Coded Orthogonal Frequency Division Multiplexing)。这将克服由于频率选择性衰落、噪声和干扰造成的载波丢失而导致的传输误差。FDMA通常为每个用户提供10khz - 30khz带宽,用于传输所有用户信息。此分配带宽比所需的语音带宽(3khz)宽,以防止干扰。在这个过程中,总频谱的50%变得无用,随着信道带宽变窄,以及频带的增加,情况会变得更糟。在QPSK调制中,用户需要2-7 kHz的带宽,但FDMA并不能处理这样的带宽,这里出现了TDMA,它使用更宽的带宽通道。多个用户可以在不同的时间段处理相同的频率。因此,可以将许多低数据速率用户组合在一起在单个信道中传输,从而有效地利用频谱。然而,TDMA有一些问题。每个包含源地址和目的地址的数据包都要增加一个开销。由于延迟变化和同步误差随时间的变化,必须分配允许每个用户的开始时间有任何容差,这限制了一定数量的用户。
TDMA存在高符号率导致多路径延迟蔓延的问题。OFDM克服了大部分问题。在OFDM中,子载波彼此靠近放置,没有开销要求,因为每个用户有一个信道,信道是符号周期的整数倍,从而导致载波之间的正交性,从而导致载波之间没有符号间的干扰,并且载波之间的间隔尽可能近。最后,OFDM信号中的每个载波都有一个非常窄的带宽(约为10mhz)。1 kHz),从而对多径延迟传播具有较高的耐受性。

ofdm收发器的实现

A. ofdm发射机块

1)输入采样器:输入采样器是OFDM发射机部分的第一个块。串行数据作为输入馈送到块,输出是一个2位IQ。输出是一组符号,每个符号包含两位。输入采样器是将两个比特分组的块。
2)符号映射器:输入采样器的输出作为输入馈送到符号映射器。符号映射器由一个QPSK调制器组成。输入到调制器的2位流将其分为两个2位符号,称为I和Q(虚数和正交)。这些符号是根据星座图生成的。在QPSK中有四个相位,每个2位符号被分配给一个相位,它们之间有90度的差。基于QPSK的星座图进行编码
3)串行输入并行输出(SIPO):该块的输入是符号映射器的输出。SIPO,顾名思义是串行输入并行输出,该块将作为输入的串行数据转换为并行数据。在这个系统中,SIPO是两个8寄存器(0-7)数组。串行输入在第七数组馈送,并且对于每个时钟周期,数据被移到上述寄存器。经过8个时钟周期后,阵列中的数据才会被转发。SIPO输出包含8个真实数据寄存器和其余8个虚构数据寄存器。
4)逆快速傅里叶变换(IFFT):它是OFDM系统中最重要的模块。IFFT的输入将来自国家知识产权局的输出。在这个系统中,我们需要两个IFFT模块,一个用于实数,另一个用于虚数。IFFT将频域约束转换为时域约束。时域值通过发射机以OFDM信号的形式传输。在该系统中,IFFT是分步骤执行的。首先交换实部和虚部,进行FFT,交换实部和虚部,最后除以N, N是输入的数量,这里是8。最后,我们得到了通过发射机传输的时域值。

B. ofdm接收块

1)快速傅立叶变换(FFT):在接收机部分,这是主要模块。OFDM信号从天线接收并馈送到FFT, FFT将其转换回频域。该系统采用频率抽取(DIF)-FFT算法。快速傅里叶变换(FFT)将循环时域信号转换为其等效频谱。这是通过寻找由正交正弦分量和产生的等效波形来完成的。正弦分量的幅值和相位表示时域信号的频谱。
2)并行输入和串行输出(PISO):并行到串行转换器是移位寄存器的特殊功能。数据被平行地装入移位寄存器,然后逐位移位。在该系统中,该bock用于将从FFT获得的数据转换为串行数据,并作为输入馈送到符号解码器,转换器必须等待多达8个周期才能加载下一个数据
3)符号DEMAPPER:输入到这个博克是从PISO馈送的,从接收的实部和虚部,基于固定的阈值水平,它是输入信号振幅的一半,将阈值与接收的输入信号进行比较,确定输出。这就是QPSK解调器背后的概念
4)输出位生成器:该块从Symbol demapper获取2位IQ并生成输出位。它由一个2比特长度的移位寄存器组成,用于输入、移位和退出每个时钟周期的数据。

实现结果

本文所提出的工作旨在演示在可重构平台上直接将无线通信系统转换为纯VHDL实现的能力。本工作完成了OFDM收发机数字基带部分的设计任务。使用Xilinx驱动发送块的结果。代码完全使用VHDL语言。
后两个结果分别表示OFDM发射机的输入和OFDM接收机的输出,延迟后信号相同。这些是来自Modelsim的模拟。现在,代码被转储到Spartan 3E FPGA套件中,并在Chipscope上观察输入、时钟和输出作为信号的结果。Chipscope的结果是

结论

成功设计了基带OFDM收发机。使用Xilinx ISE对每个块进行测试。完整的资源利用率是切片触发器数量的4%和4个输入LUT的72%

数字一览

数字 数字 数字 数字 数字
图1 图2 图3 图4 图5

参考文献








全球科技峰会