所有提交的电磁系统将被重定向到在线手稿提交系统。作者请直接提交文章在线手稿提交系统各自的杂志。

有效的实现自适应噪声消除器使用FPGA为汽车应用

S.Thilagam
助理教授,ECE、Kumaraguru技术学院Tamilnadu、印度哥印拜陀
相关文章Pubmed,谷歌学者

访问更多的相关文章国际先进研究期刊》的研究在电子、电子、仪表工程

文摘

本文提出的体系结构和实现实时自适应NLMS滤波器的非平稳噪声取消汽车环境中。使用自适应数字滤波器的有源噪声控制技术是非常合适的,证明。提出有效的自适应噪声消除器实现使用Xilinx FPGA系统发电机12.3在斯巴达3 e。系统发电机是一个从Xilinx DSP设计工具,使Mathworks基于模型设计的使用环境,对FPGA设计仿真软件。FPGA实现步骤包括合成、地点和路线执行自动生成一个FPGA编程文件和设计评估在速度方面,硬件资源和功耗。

关键字

LMS自适应滤波器、噪声消除器,Xilinx系统发电机12.3,斯巴达3 e,硬件描述语言(VHDL)

介绍

有源噪声控制的目的是生成一个抗噪声信号从一个演讲者最小化原始信号的噪音水平。传统的噪声控制技术使用被动治疗来减少噪声(1、4、5)。在汽车行业,汽车机舱的噪音水平是最小化通过使用消声器吸收发动机噪音。然而,这些技术不能最小化低频率的噪声。一个自适应滤波器用于生成抗噪声信号从而最大限度地减少噪声,如图1所示[6、7]。实现一个高效的LMS自适应滤波器来实现这一噪声取消。

自适应噪声消除器

自适应噪声消除器采用定向麦克风来衡量和评估环境噪音的瞬时振幅和另一个麦克风用于受噪声污染的语音信号[13]。处理环境噪声的自适应滤波器,使其等于噪声污染的语音信号,然后减去取消期望信号中的噪声。为了有效地删除,必须高度相关环境噪声语音信号中的噪声组件。
最小均方(LMS)自适应滤波器用于实现降噪由于其简单性和适合实时应用程序[14][15]。自适应噪声消除器的框图如图2所示。
在图2中,误差在滤波器的输出可以表示为,
en = dn-Wn桶(1)
它只是所需的输出减去实际滤波器的输出。步长参数μ的重量更新方程,
Wn + 1 = Wn + 2μ.en。联合国(2)
决定以多快的速度算法收敛于最优权重
0 <μ< 2 / M。Smax (3)
M是过滤器阀门的数量和Smax是功率谱密度的最大值的水龙头输入μ。[17]
从每一组方程(1),(2)和(3),我们可以构造一个框图互连延迟的组成元素,乘数和蛇。这样的块图被称为实现的系统或等价结构实现系统[18]。

实现的因素

的主要因素影响的选择实现
1。计算复杂度
2。内存需求
3所示。有限字长效应
4所示。易于实现。
计算复杂度是指算术运算的数量(乘法、分歧和添加)计算所需的输出值y (n)系统。
内存需求是指所需的内存来存储系统参数,过去过去的输入、输出和中间计算的值。
有限字长效应或有限精度效应指固有的量子化效应是任何数字实现的系统,在硬件或软件[8]。
过滤器可以通过级联实现尽可能多的单位的单位细胞的长度过滤如图3和图4所示。滤波器的基本元素结构如图5所示。[10][12]。
或者是

FPGA实现国民大会

的SPARTAN-3E FPGA
FPGA用于实现自适应噪声消除器是500000 -门Xilinx Spartan-3E XC3S500E如图6所示。基于Spartan-3E设备包含一个二维的行和列的架构来实现自定义的逻辑。使用LMS滤波器实现非国大,操作一个N-tap过滤器的数量已经减少到2 * N乘法和N添加/更新系数。许多技术已经设计了有效计算卷积操作当滤波器的系数是固定的提前[20]
B LMS算法的实现
LMS算法使用一个数字滤波器结构。图中所示的设计代表了一个过滤器的结构视图。从图中,滤波器的主要组件包括L - 1单元延时寄存器和L重量更新。单位延迟注册只是D人字拖。每个重量更新组件包括一个乘数,一个加法器和一个缓冲区来存储新的权重的更新滤波器系数。根据方程(2)[15],减去所需的滤波器的输出信号产生一个错误的信号。然后乘以μ误差信号与输入信号,产生下一组滤波器系数。
C定点表示的数据
定点整数和浮点数学之间的一步。这个的优点是几乎一样快整数运算,能够代表数字和分数。它使用一个较小的区域在FPGA比浮点算术运算过程[19]。定点数都有指定的宽度和分配的小数点的位置。只要数量是大到足以提供足够的精度,定点为大多数DSP应用程序很好。因为它是基于整数数学,这是非常有效的,只要数据不会变化太多级[2][3]。
选择D字长
最基本的任务是正确的单词长度的选择系统中各种变量。短单词长度可能会导致额外的舍入错误,这可能会导致不稳定或表现不佳。另一方面,过度的使用长单词长度增加系统的复杂性从而降低它的最大速度和增加了使用FPGA的领域。所以之间应该达到平衡系统舍入错误和运行的最大速度与FPGA的使用区域[9][10][12]。
训练算法的自适应滤波器通常使用一种直接冷杉结构有一个延迟,由加法器的输出的深度决定,这是依赖于过滤的命令。的直接形式冷杉滤波器长度16用于降噪的目的。

测试和结果

有效定点基于LMS自适应滤波器是使用硬件描述语言(VHDL)设计。设计合成和Xilinx系统上模拟发生器12.3。仿真结果在FPGA上实现自适应噪声消除器如图7所示。所需的硬件资源实现这种滤波器可以从仿真结果如图7所示的设备利用率的总结和综合报告。

资源利用率

表1。设备利用率总结代表设备的实现结果提到资源利用率Xilinx斯巴达3 e工具包。以下给出合成输出。盖茨的数量需要实现自适应噪声消除器的利用率总在FPGA硬件设备如表1中所示。片人字拖,查表,邦德组件的数量,数量的GCLKs总结在表1所示。
结果证明,自适应降噪的LMS自适应滤波器实现满足预期的需求通过提供稳定一段时间后。收敛(时间)在非平稳环境(汽车)。有效实现合理的资源利用效率如表1所示。
的实验设置实现自适应噪声消除器如图8所示。实验建立了使用FPGA斯巴达3 e工具包以及计算机装载Xilinx系统生成器软件如图8所示。

结论

使用硬件描述语言(VHDL)的实现自适应噪声消除器提出了一种非平稳环境和单词长度要求的设计也被讨论。自适应噪声消除器的性能在非平稳环境下所表达的是它的简单实现和它的稳定性,当步长参数选择适当证明的结果。

引用


















全球技术峰会